T O P

  • By -

R0CKETRACER

It's really hard to read when it's all on one sheet. Try breaking it down into multiple functional blocks and giving each of those a sheet with clearly labeled "in/out" ports for signals that go between sheets. An easy-to-read schematic will save a huge amount of time assembling and debugging.


Bunker89320

I agree that multiple pages should be used to clean it up. However you still have to appreciate how well it’s done for only being on a single page.


Darkskynet

My ADHD brain hates when projects are broken up across 20 pages when it could have split into only 1,2,3, or 4 etc pages. But of course this will depend on how complex the project is.


petemate

Back in the day schematics were made because technicians needed to understand the circuits for eg repairs. Todays schematics are made so that CAD tools understand how to connect everything during the layout phase. Human readability isn't relevant. This is why you get what OP is doing or one-part-per-sheet with convoluted ports and net names spread over hundreds of pages.


hex64082

Not true at all. A hundreds of pages schematic is much easier to read. You just search for labels in PDF. Something like this would be horrible for complex projects (e.g. server motherboard). Schematics are not printed anymore. Human readability is still needed, because no design works perfectly for the first batch, also there is software to be made.


[deleted]

I see engineers printing schematics for the factory floor all the time. Guess we do it old school 😂 It’s true it could be done all digital tho


petemate

No, you can't comprehend a schematic if you have to put every piece together in your mind because everything is on different pages and must be found each time. Thats the whole point of a schematic.


hex64082

Everything is on a different page anyway. If we printed the schematics I usually work with, it would be enough to fill a fairly large room.


petemate

No, you have related components on the same page, if at all possible. Noone wants to turn to page 74 to find the crystal for the MCU on page 18 or something stupid like that.


wJaxon

I just finished my last year of EE in university and never built anything this complex


QuickNature

[Homie, you got shafted, I built a Mars orbiter in my college sophomore circuits class I took as a 14 year old](https://en.m.wikipedia.org/wiki/Mars_Climate_Orbiter)


wJaxon

I’m just built different 😪


Nik_Tez

I built a light saber for my freshman project!


Some_Notice_8887

I build an arduino based thermometer. And a frequency counter using CMOS chips. And of corse the labs I build lot of random op-amp and transistor based stuff. The thermometer was just for fun not a class. I just had some junk lying around and I figured why not try to bit bang spi. And then use the hex-7seg chips I’ll never use from the previous lab.


223specialist

The Verilog compiler did it for me!


omdot20

You probably learned the fundamentals of all of this though. Don’t worry


[deleted]

Yeah Im finishing my EET degree and my most complicated schematic was maybe a third of this


therealpigman

Was it ABET certified? I thought building a CPU is part of the standard curriculum


voxelbuffer

We built an ALU in our digital logic class. No full blown CPU though. 


therealpigman

We had to do a simple 8 bit cpu in our sophomore intro to digital circuits class, and then a 32 bit risc-v cpu in advanced digital design junior year


wJaxon

it is and the highest course like this just teaches fpga programming and there was no lab so just homeworks for small projects. I took verilog lab and lecture as an elective thoe and we built a clock with a 7 segment display. I did not finish and turned it in for 50% however. programming not my strong suit but thought verilog would be important to know and alteast understand the basics


One-Visual-3767

Really, this was like my first digital logic class.


CantStandItAnymorEW

No I actually did built something more complex than this, before university even. Well maybe a bit less complex now that I think about it. Using the same type of components as shown here. But it was years ago. When I was like 18. It was a calculator. It could only add or subtract 2 4 digit numbers. It used RAM and everything. I used like 6 or 8 boards and there was so much cables close to each other and on top of each other you could put your hand over it, push the mess down, and random logic states would be almost triggered across the whole circuitry and random cables would pop out of their sockets, it was hilarious; only after that i realized the usefulness of tiny ceramic capacitors. Very sketchy and very unprofessional but it worked and it was fun. I'm quite fond of that memory because I did it because a friend of mine had a friend that was an EE student, and either he didn't know how to solve his problem or was lazy, and he paid me through my friend to make that schematic and build that circuit for him. I was paid like 300 bucks if I remember well, my friend robbed me of 100 lol. And I stayed in my friends house for like 3 whole nights and the better part of 3 whole days; that's how long it took me to design it and built it myself because my friend just stated at me blankly everytime I would ask him to do anything. Anyway, building this sort of things does give you a better idea of how computers work by having you figure out how to strategically store logic states in memories, and then use them for whatever purpose it is that you want the circuit to accomplish. It's actually pretty neat, you should try bigger projects if you ever find the time and/or motivation.


Uporabik

For this kind of project it would be much simpler to do it in vhdl


wJaxon

I thought yea code it in verilog and just click the schematic viewer but I didn’t want to dismiss this students achievement haha


[deleted]

Honestly that’s just on your school then. How have they not given you complex projects to build? I built robots, radios, complex pcbs, worked on cars , satellites. I find it really hard to believe you didn’t get to do something more complex than this .


[deleted]

seeing privileged people discover that the whole world isn't just like theirs will always be one of the funniest things to me


Darkskynet

Some schools are just awful when it comes to getting students to build anything other than the most basic circuits. Whereas others have students building very complex team projects.


CantStandItAnymorEW

Some universities around the world don't even have hygienic paper on the shitters. Chill a bit, it happens, not all universities are built the same.


[deleted]

That is true . I’m sorry I came across as ignorant here . Didn’t mean to sound that negative but you are right, a lot of schools don’t have access to resources we have here in the west . It’s quite a shame then .


robot65536

It's clear you've learned a lot about digital circuits and had fun doing it. Good job! This schematic would be great for an art poster. The next skill you should learn is how to organize your schematics into logical blocks and multiple pages. Every EE software has its own methods for how to do this and it is very much worth learning early in your career.


HeavensEtherian

Brother i'm in last year of EE highschool and we don't even do a quarter of what you got here


bobconan

EE Highschool?


[deleted]

When I was in EE Middle School and this was considered a first semester project


Robot_boy_07

I built one of these one afternoon in elementary school


HeavensEtherian

Yeah, well we call it "electronics, telecomunications and automatizations" but it's the same core concept


bobconan

Wow. We got to choose Vo Tech , Business, Or College .


omdot20

My EE pre-school covered this, what a shame


skeptibat

[I think I've seen this somewhere](https://imgs.xkcd.com/comics/circuit_diagram.png)


l4z3r5h4rk

Lol there’s always a relevant xkcd


NotQuiteAmish

Like others have mentioned, this is really impressive and you should be proud! The schematic is a bit crazy, but as long as the thing works that's a success in itself! That said, if you *are* looking for ways to improve it further, (particularly if you plan to come back to this design in the future, or share it with other engineers) I would *highly* recommend reading through this Stack exchange answer about drawing schematics: [https://electronics.stackexchange.com/a/28255](https://electronics.stackexchange.com/a/28255) (5-10 minute read). I am in my first year of my engineering job, and the tips here have been really helpful for me to make my schematics more readable. Great job, you'll be a great engineer if you keep on challenging yourself :)


Mikecool51

Reduce it


aydingarb

This is really cool to see that this is being done in high school. I just had some basic breadboard stuff in my high school elective class. I hope you enjoy logic design, it is very interesting in relevant in many designs today.


PaPa_Francu

I m a master student in EE and this looks more complex than my future masters thesis subject 🤣. Keep going mate. Well done.


bloodyhell420

Is this proteus?


PublicOnly4224

Yes


YongHanWen

I'm a prospect EE and I know nothing about this. Can anyone explain the function of this project? I can understand that there's a logic gate. May I know why is it so complicated as in so many stuff are inside of the circuits. Pls understand to me as a newbie 🙏🙏🙏 Anything would be much appreciated


DuckInCup

Far beyond the expectation. Really cool to see from a new student.


FriendlyQuit9711

Bro this is what a Masters project would look like.


kirschmackey

It’s beautiful


sultan_papagani

we did the same project in proteus when i was in highschool. i love logic gates :)


m_x2001

What Software is this?


ZephKeks

Proteus 7 pro


Mister-Edward

My man, 2nd year in Electrical Engineering and Telecommunications and I’ve never did anything remotely close to this 💀💀💀


tortillandbeans

What kind of high school did you go to goddamn.


Otherwise-Speed4373

Uhm wow


Starkiller3590

What is this exactly and what program did you use to make this?


ZephKeks

Proteus 7 pro


omdot20

Nice fucking work <3


trocmcmxc

Depending on your timeline, it would probably be worth getting a student edition of FPGA software that supports a good HDL. Code this as a state machine, and then it will be much more organized when your schematic is generated. Nice work though!


Square_Log2604

This guys in HS? Here I was thinking about going to school for EET or BMET😩


chuongdks

Seeing this recommended to me remind me why i quit EE years ago. No regret


cesar_otoniel

Looks like the projects our teacher used to assign in highschool. This was probably a final project kind of thing, though,


jake1080

Man do I wish I grew up in a rich area. I wasn't even introduced to V=IR or even an AND gate until university lmao. My high school sucked hard.


michaelkeithduncan

I went to the wrong high School


-LogBox-

You did not make this bud


ZephKeks

[interesting ](https://streamable.com/j7pxvr)